杂志信息网-创作、查重、发刊有保障。

数字频率计的设计毕业论文

发布时间:2024-07-03 05:09:03

数字频率计的设计毕业论文

这是大规模数字集成电路在系统可编程领域的经典课程设计。数字频率计是近代电子技术领域的重要测量工具之一,同时也是其他许多领域广泛应用的测量仪器。数字频率计是在规定的基准时间内把测量的脉冲数记录下来,换算成频率并以数字形式显示出来。数字频率计用于测量信号(方波,正弦波或其他周期信号)的频率,并用十进制数字显示,它具有精度高,测量速度快,读数直观,使用方便等优点。一个用VHDL语言实现的实例如下:-- Project Name: 恒精度频率计-- Target Devices: FPGA or CPLD-- Revision - File Created-- Comments: clk--系统工作时钟,2MHz-------------reset--系统复位信号,高电平有效-------------Fx--为待测信号-------------FreqNx--为待测信号的计数值-------------FreqNs--为标准信号的计数值-------------Freq--为待测信号的频率------------------------------------------------------------------------------------library IEEE;use ;use ;use ;----------------------------------------------------------entity Cymometer is generic(clk_freq : integer := 2000000);--系统工作时钟频率 Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; Fx : in STD_LOGIC; ----待测信号 FreqNs : out natural; FreqNx : out natural); --Freq : out natural);end Cymometer;----------------------------------------------------------architecture Behavioral of Cymometer is---------------------------------------- signal start : STD_LOGIC;--此信号为高电平时计数器开始计数 signal CTRL : STD_LOGIC;--CTRL信号为待测信号和门控信号产生的计数器启动信号 signal CNTx : natural;--待测信号计数器 signal CNTs : natural;--标准信号计数器----------------------------------------begin--***************************************----产生一个门控信号,高电平有效 GateCtrl : process(clk) --------------------------- variable CNT0 : integer range 0 to 2_097_152;--门控信号计数器 --------------------------- begin if rising_edge(clk) then if reset='1' then CNT0 := 0; else CNT0 := CNT0 + 1; end if; --------- if reset='1' then start <= '0'; elsif CNT0 < (clk_freq*3/4) then start <= '1'; else start <= '0'; end if; end if; end process GateCtrl;--***************************************----产生CTRL信号,由待测信号和门控信号产生的计数器启动信号 CtrlGen : process(Fx) begin if rising_edge(Fx) then if reset='1' then CTRL <= '0'; else CTRL <= start; end if; end if; end process CtrlGen;--***************************************----用两个计数器分别对标准信号clk和待测信号signal计数------------------------------------计数标准信号,CTRL高电平期间有效 CountS : process(clk) begin if rising_edge(clk) then if reset='1' then CNTs <= 0; elsif CTRL='1' then CNTs <= CNTs + 1; else CNTs <= 0; end if; end if; end process CountS;------------------------------------计数待测信号,CTRL高电平期间有效 CountX : process(Fx) begin if rising_edge(Fx) then if reset='1' then CNTx <= 0; elsif CTRL='1' then CNTx <= CNTx + 1; else CNTx <= 0; end if; end if; end process CountX;--***************************************----CTRL下降沿将技术结果和测量值输出 CountOut : process(CTRL) begin if falling_edge(CTRL) then if reset='1' then FreqNs <= 0; FreqNx <= 0;-- Freq <= 0; else FreqNs <= CNTs; FreqNx <= CNTx;-- Freq <= (clk_freq / CNTs * CNTx); end if; end if; end process CountOut;end Behavioral;下面是为上面的模块编写的测试平台,在Modelsim下仿真通过,因为数据量较大,建议不要使用Altera及ISE仿真。--------------------------------------------------------------------------------LIBRARY ieee;USE ;USE ;USE ; ENTITY tb ISEND tb; ARCHITECTURE behavior OF tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Cymometer PORT( clk : IN std_logic; reset : IN std_logic; Fx : IN std_logic; FreqNs : OUT natural; FreqNx : OUT natural; Freq : OUT natural ); END COMPONENT;--Inputs signal clk : std_logic := '0'; signal reset : std_logic := '1'; signal Fx : std_logic := '0'; --Outputs signal FreqNs : natural; signal FreqNx : natural;-- signal Freq : natural; -- Clock period definitions constant clk_period : time := 500ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: Cymometer PORT MAP ( clk => clk, reset => reset, Fx => Fx, FreqNs => FreqNs, FreqNx => FreqNx, -- Freq => Freq ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; --产生待测信号 Fx_process : process begin Fx <= '0'; wait for 2*clk_period; Fx <= '1'; wait for 2*clk_period; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100ms. wait for clk_period*10; reset <= '0'; -- insert stimulus here wait; end process;END;参考原理M/T测频法。

数字频率计是一种基本的测量仪器。它被广泛应用与航天、电子、测控等领域。它利用VHDL硬件描述语言进行设计,并在EDA(电子设计自动化)工具的帮助下,用大规模可编程器件(CPLD)实现数字频率计的设计原理及相关程序。通过了Max+plusⅡ软件进行仿真、硬件调 ... 你可以去这个网 址看看

液压伺服系统设计 液压伺服系统设计 在液压伺服系统中采用液压伺服阀作为输入信号的转换与放大元件。液压伺服系统能以小功率的电信号输入,控制大功率的液压能(流量与压力)输出,并能获得很高的控制精度和很快的响应速度。位置控制、速度控制、力控制三类液压伺服系统一般的设计步骤如下: 1)明确设计要求:充分了解设计任务提出的工艺、结构及时系统各项性能的要求,并应详细分析负载条件。 2)拟定控制方案,画出系统原理图。 3)静态计算:确定动力元件参数,选择反馈元件及其它电气元件。 4)动态计算:确定系统的传递函数,绘制开环波德图,分析稳定性,计算动态性能指标。 5)校核精度和性能指标,选择校正方式和设计校正元件。 6)选择液压能源及相应的附属元件。 7)完成执行元件及液压能源施工设计。 本章的内容主要是依照上述设计步骤,进一步说明液压伺服系统的设计原则和介绍具体设计计算方法。由于位置控制系统是最基本和应用最广的系统,所以介绍将以阀控液压缸位置系统为主。 全面理解设计要求 全面了解被控对象 液压伺服控制系统是被控对象—主机的一个组成部分,它必须满足主机在工艺上和结构上对其提出的要求。例如轧钢机液压压下位置控制系统,除了应能够承受最大轧制负载,满足轧钢机轧辊辊缝调节最大行程,调节速度和控制精度等要求外,执行机构—压下液压缸在外形尺寸上还受轧钢机牌坊窗口尺寸的约束,结构上还必须保证满足更换轧辊方便等要求。要设计一个好的控制系统,必须充分重视这些问题的解决。所以设计师应全面了解被控对象的工况,并综合运用电气、机械、液压、工艺等方面的理论知识,使设计的控制系统满足被控对象的各项要求。 明角设计系统的性能要求 1)被控对象的物理量:位置、速度或是力。 2)静态极限:最大行程、最大速度、最大力或力矩、最大功率。 3)要求的控制精度:由给定信号、负载力、干扰信号、伺服阀及电控系统零飘、非线性环节(如摩擦力、死区等)以及传感器引起的系统误差,定位精度,分辨率以及允许的飘移量等。 4)动态特性:相对稳定性可用相位裕量和增益裕量、谐振峰值和超调量等来规定,响应的快速性可用载止频率或阶跃响应的上升时间和调整时间来规定; 5)工作环境:主机的工作温度、工作介质的冷却、振动与冲击、电气的噪声干扰以及相应的耐高温、防水防腐蚀、防振等要求; 6)特殊要求;设备重量、安全保护、工作的可靠性以及其它工艺要求。 负载特性分析 正确确定系统的外负载是设计控制系统的一个基本问题。它直接影响系统的组成和动力元件参数的选择,所以分析负载特性应尽量反映客观实际。液压伺服系统的负载类型有惯性负载、弹性负载、粘性负载、各种摩擦负载(如静摩擦、动摩擦等)以及重力和其它不随时间、位置等参数变化的恒值负载等。 拟定控制方案、绘制系统原理图 在全面了解设计要求之后,可根据不同的控制对象,按表6所列的基本类型选定控制方案并拟定控制系统的方块图。如对直线位置控制系统一般采用阀控液压缸的方案,方块图如图36所示。图36 阀控液压缸位置控制系统方块图表6 液压伺服系统控制方式的基本类型伺服系统 控制信号 控制参数 运动类型 元件组成机液电液气液电气液 模拟量数字量位移量 位置、速度、加速度、力、力矩、压力 直线运动摆动运动旋转运动 1.阀控制:阀-液压缸,阀-液压马达2.容积控制:变量泵-液压缸;变量泵-液压马达;阀-液压缸-变量泵-液压马达3.其它:步近式力矩马达 动力元件参数选择 动力元件是伺服系统的关键元件。它的一个主要作用是在整个工作循环中使负载按要求的速度运动。其次,它的主要性能参数能满足整个系统所要求的动态特性。此外,动力元件参数的选择还必须考虑与负载参数的最佳匹配,以保证系统的功耗最小,效率高。 动力元件的主要参数包括系统的供油压力、液压缸的有效面积(或液压马达排量)、伺服阀的流量。当选定液压马达作执行元件时,还应包括齿轮的传动比。 供油压力的选择 选用较高的供油压力,在相同输出功率条件下,可减小执行元件——液压缸的活塞面积(或液压马达的排量),因而泵和动力元件尺寸小重量轻,设备结构紧凑,同时油腔的容积减小,容积弹性模数增大,有利于提高系统的响应速度。但是随供油压力增加,由于受材料强度的限制,液压元件的尺寸和重量也有增加的趋势,元件的加工精度也要求提高,系统的造价也随之提高。同时,高压时,泄漏大,发热高,系统功率损失增加,噪声加大,元件寿命降低,维护也较困难。所以条件允许时,通常还是选用较低的供油压力。 常用的供油压力等级为7MPa到28MPa,可根据系统的要求和结构限制条件选择适当的供油压力。 伺服阀流量与执行元件尺寸的确定 如上所述,动力元件参数选择除应满足拖动负载和系统性能两方面的要求外,还应考虑与负载的最佳匹配。下面着重介绍与负载最佳匹配问题。 (1)动力元件的输出特性 将伺服阀的流量——压力曲线经坐标变换绘于υ-FL平面上,所得的抛物线即为动力元件稳态时的输出特性,见图37。 图37 参数变化对动力机构输出特性的影响a)供油压力变化;b)伺服阀容量变化;c)液压缸面积变化 图中 FL——负载力,FL=pLA; pL——伺服阀工作压力; A——液压缸有效面积; υ——液压缸活塞速度, ; qL——伺服阀的流量; q0——伺服阀的空载流量; ps——供油压力。 由图37可见,当伺服阀规格和液压缸面积不变,提高供油压力,曲线向外扩展,最大功率提高,最大功率点右移,如图37a。 当供油压力和液压缸面积不变,加大伺服阀规格,曲线变高,曲线的顶点A ps不变,最大功率提高,最大功率点不变,如图37b。 当供油压力和伺服阀规格不变,加大液压缸面积A,曲线变低,顶点右移,最大功率不变,最大功率点右移,如图37c。 (2)负载最佳匹配图解法 在负载轨迹曲线υ-FL平面上,画出动力元件输出特性曲线,调整参数,使动力元件输出特性曲线从外侧完全包围负载轨迹曲线,即可保证动力元件能够拖动负载。在图38中,曲线1、2、3代表三条动力元件的输出特性曲线。曲线2与负载轨迹最大功率点c相切,符合负载最佳匹配条件,而曲线1、3上的工作点α和b,虽能拖动负载,但效率都较低。 (3)负载最佳匹配的解析法 参见液压动力元件的负载匹配。 (4)近似计算法在工程设计中,设计动力元件时常采用近似计算法,即按最大负载力FLmax选择动力元件。在动力元件输出特性曲线上,限定 FLmax≤pLA= ,并认为负载力、最大速度和最大加速度是同时出现的,这样液压缸的有效面积可按下式计算: (37) 图38 动力元件与负载匹配图形 按式37求得A值后,可计算负载流量qL,即可根据阀的压降从伺服阀样本上选择合适的伺服阀。近似计算法应用简便,然而是偏于保守的计算方法。采用这种方法可以保证系统的性能,但传递效率稍低。 (5)按液压固有频率选择动力元件 对功率和负载很小的液压伺服系统来说,功率损耗不是主要问题,可以根据系统要求的液压固有频率来确定动力元件。 四边滑阀控制的液压缸,其活塞的有效面积为 (38) 二边滑阀控制的液压缸,其活塞的有效面积为 (39) 液压固有频率ωh可以按系统要求频宽的(5~10)倍来确定。对一些干扰力大,负载轨迹形状比较复杂的系统,不能按上述的几种方法计算动力元件,只能通过作图法来确定动力元件。 计算阀控液压马达组合的动力元件时,只要将上述计算方法中液压缸的有效面积A换成液压马达的排量D,负载力FL换成负载力矩TL,负载速度换成液压马达的角速度 ,就可以得到相应的计算公式。当系统采用了减速机构时,应注意把负载惯量、负载力、负载的位移、速度、加速度等参数都转换到液压马达的轴上才能作为计算的参数。减速机构传动比选择的原则是:在满足液压固有频率的要求下,传动比最小,这就是最佳传动比。 伺服阀的选择 根据所确定的供油压力ps和由负载流量qL(即要求伺服阀输出的流量)计算得到的伺服阀空载流量q0,即可由伺服阀样本确定伺服阀的规格。因为伺服阀输出流量是限制系统频宽的一个重要因素,所以伺服阀流量应留有余量。通常可取15%左右的负载流量作为伺服阀的流量储备。 除了流量参数外,在选择伺服阀时,还应考虑以下因素: 1)伺服阀的流量增益线性好。在位置控制系统中,一般选用零开口的流量阀,因为这类阀具有较高的压力增益,可使动力元件有较大的刚度,并可提高系统的快速性与控制精度。 2)伺服阀的频宽应满足系统频宽的要求。一般伺服阀的频宽应大于系统频宽的5倍,以减小伺服阀对系统响应特性的影响。 3)伺服阀的零点漂移、温度漂移和不灵敏区应尽量小,保证由此引起的系统误差不超出设计要求。 4)其它要求,如对零位泄漏、抗污染能力、电功率、寿命和价格等,都有一定要求。 执行元件的选择 液压伺服系统的执行元件是整个控制系统的关键部件,直接影响系统性能的好坏。执行元件的选择与设计,除了按本节所述的方法确定液压缸有效面积A(或液压马达排量D)的最佳值外,还涉及密封、强度、摩擦阻力、安装结构等问题。 反馈传感器的选择 根据所检测的物理量,反馈传感器可分为位移传感器、速度传感器、加速度传感器和力(或压力)传感器。它们分别用于不同类型的液压伺服系统,作为系统的反馈元件。闭环控制系统的控制精度主要决定于系统的给定元件和反馈元件的精度,因此合理选择反馈传感器十分重要。 传感器的频宽一般应选择为控制系统频宽的5~10倍,这是为了给系统提供被测量的瞬时真值,减少相位滞后。传感器的频宽对一般系统都能满足要求,因此传感器的传递函数可近似按比例环节来考虑。 确定系统方块图 根据系统原理图及系统各环节的传递函数,即可构成系统的方块图。根据系统的方块图可直接写出系统开环传递函数。阀控液压缸和阀控液压马达控制系统二者的传递函数具有相同的结构形式,只要把相应的符号变换一下即可。 绘制系统开环波德图并确定开环增益 系统的动态计算与分析在这里是采用频率法。首先根据系统的传递函数,求出波德图。在绘制波德图时,需要确定系统的开环增益K。 改变系统的开环增益K时,开环波德图上幅频曲线只升高或降低一个常数,曲线的形状不变,其相频曲线也不变。波德图上幅频曲线的低频段、穿越频率以及幅值增益裕量分别反映了闭环系统的稳态精度、截止频率及系统的稳定性。所以可根据闭环系统所要求的稳态精度、频宽以及相对稳定性,在开环波德图上调整幅频曲线位置的高低,来获得与闭环系统要求相适应的K值。 由系统的稳态精度要求确定K 由控制原理可知,不同类型控制系统的稳态精度决定于系统的开环增益。因此,可以由系统对稳态精度的要求和系统的类型计算得到系统应具有的开环增益K。 由系统的频宽要求确定K 分析二阶或三阶系统特性与波德图的关系知道,当ζh和K/ωh都很小时,可近似认为系统的频宽等于开环对数幅值曲线的穿越频率,即ω-3dB≈ωc,所以可绘制对数幅频曲线,使ωc在数值上等于系统要求的ω-3dB值,如图39所示。由此图可得K值。 图39 由ω-3dB绘制开环对数幅频特性a)0型系统;b)I型系统 由系统相对稳定性确定K 系统相对稳定性可用幅值裕量和相位裕量来表示。根据系统要求的幅值裕量和相位裕量来绘制开环波德图,同样也可以得到K。见图40。 实际上通过作图来确定系统的开环增益K,往往要综合考虑,尽可能同时满足系统的几项主要性能指标。 系统静动态品质分析及确定校正特性 在确定了系统传递函数的各项参数后,可通过闭环波德图或时域响应过渡过程曲线或参数计算对系统的各项静动态指标和误差进行校核。如设计的系统性能不满足要求,则应调整参数,重复上述计算或采用校正环节对系统进行补偿,改变系统的开环频率特性,直到满足系统的要求。 仿真分析 在系统的传递函数初步确定后,可以通过计算机对该系统进行数字仿真,以求得最佳设计。目前有关于数字仿真的商用软件,如Matlab软件,很适合仿真分析。

以下均可参考,从参考网址进入,合适的话,给我加分!谢谢1.基于labVIEW虚拟滤波器的设计与实现 2.双闭环直流调速系统设计3.单片机脉搏测量仪 4.单片机控制的全自动洗衣机毕业设计论文电梯控制的设计与实现 6.恒温箱单片机控制7.基于单片机的数字电压表 8.单片机控制步进电机毕业设计论文9.函数信号发生器设计论文 变电所一次系统设计11.报警门铃设计论文 单片机交通灯控制13.单片机温度控制系统 通信系统中的接入信道部分进行仿真与分析15.仓库温湿度的监测系统 16.基于单片机的电子密码锁17.单片机控制交通灯系统设计 18.基于DSP的IIR数字低通滤波器的设计与实现19.智能抢答器设计 20.基于LabVIEW的PC机与单片机串口通信设计的IIR数字高通滤波器 22.单片机数字钟设计23.自动起闭光控窗帘毕业设计论文 24.三容液位远程测控系统毕业论文25.基于Matlab的PWM波形仿真与分析 26.集成功率放大电路的设计27.波形发生器、频率计和数字电压表设计 28.水位遥测自控系统 毕业论文29.宽带视频放大电路的设计 毕业设计 30.简易数字存储示波器设计毕业论文31.球赛计时计分器 毕业设计论文 数字滤波器的设计毕业论文机与单片机串行通信毕业论文 34.基于CPLD的低频信号发生器设计毕业论文变电站电气主接线设计 序列在扩频通信中的应用37.正弦信号发生器 38.红外报警器设计与实现39.开关稳压电源设计 40.基于MCS51单片机温度控制毕业设计论文41.步进电动机竹竿舞健身娱乐器材 42.单片机控制步进电机 毕业设计论文43.单片机汽车倒车测距仪 44.基于单片机的自行车测速系统设计45.水电站电气一次及发电机保护 46.基于单片机的数字显示温度系统毕业设计论文47.语音电子门锁设计与实现 48.工厂总降压变电所设计-毕业论文49.单片机无线抢答器设计 50.基于单片机控制直流电机调速系统毕业设计论文51.单片机串行通信发射部分毕业设计论文 52.基于VHDL语言PLD设计的出租车计费系统毕业设计论文53.超声波测距仪毕业设计论文 54.单片机控制的数控电流源毕业设计论文55.声控报警器毕业设计论文 56.基于单片机的锁相频率合成器毕业设计论文57.基于Multism/protel的数字抢答器 58.单片机智能火灾报警器毕业设计论59.无线多路遥控发射接收系统设计毕业论文 60.单片机对玩具小车的智能控制毕业设计论文61.数字频率计毕业设计论文 62.基于单片机控制的电机交流调速毕业设计论文63.楼宇自动化--毕业设计论文 64.车辆牌照图像识别算法的实现--毕业设计65.超声波测距仪--毕业设计 66.工厂变电所一次侧电气设计67.电子测频仪--毕业设计 68.点阵电子显示屏--毕业设计69.电子电路的电子仿真实验研究 70.基于51单片机的多路温度采集控制系统71.基于单片机的数字钟设计 72.小功率不间断电源(UPS)中变换器的原理与设计73.自动存包柜的设计 74.空调器微电脑控制系统75.全自动洗衣机控制器 76.电力线载波调制解调器毕业设计论文77.图书馆照明控制系统设计 78.基于AC3的虚拟环绕声实现79.电视伴音红外转发器的设计 80.多传感器障碍物检测系统的软件设计81.基于单片机的电器遥控器设计 82.基于单片机的数码录音与播放系统83.单片机控制的霓虹灯控制器 84.电阻炉温度控制系统85.智能温度巡检仪的研制 86.保险箱遥控密码锁 毕业设计变电所的电气部分及继电保护 88.年产26000吨乙醇精馏装置设计89.卷扬机自动控制限位控制系统 90.铁矿综合自动化调度系统91.磁敏传感器水位控制系统 92.继电器控制两段传输带机电系统93.广告灯自动控制系统 94.基于CFA的二阶滤波器设计95.霍尔传感器水位控制系统 96.全自动车载饮水机97.浮球液位传感器水位控制系统 98.干簧继电器水位控制系统99.电接点压力表水位控制系统 100.低成本智能住宅监控系统的设计101.大型发电厂的继电保护配置 102.直流操作电源监控系统的研究103.悬挂运动控制系统 104.气体泄漏超声检测系统的设计105.电压无功补偿综合控制装置 型无功补偿装置控制器的设计电机调速 频段窄带调频无线接收机109.电子体温计 110.基于单片机的病床呼叫控制系统111.红外测温仪 112.基于单片微型计算机的测距仪113.智能数字频率计 114.基于单片微型计算机的多路室内火灾报警器115.信号发生器 116.基于单片微型计算机的语音播出的作息时间控制器117.交通信号灯控制电路的设计 118.基于单片机步进电机控制系统设计119.多路数据采集系统的设计 120.电子万年历 121.遥控式数控电源设计 降压变电所一次系统设计 变电站一次系统设计 124.智能数字频率计 125.信号发生器126.基于虚拟仪器的电网主要电气参数测试设计 127.基于FPGA的电网基本电量数字测量系统的设计 128.风力发电电能变换装置的研究与设计 129.电流继电器设计 130.大功率电器智能识别与用电安全控制器的设计 131.交流电机型式试验及计算机软件的研究 132.单片机交通灯控制系统的设计 133.智能立体仓库系统的设计 134.智能火灾报警监测系统 135.基于单片机的多点温度检测系统 136.单片机定时闹钟设计 137.湿度传感器单片机检测电路制作 138.智能小车自动寻址设计--小车悬挂运动控制系统 139.探讨未来通信技术的发展趋势 140.音频多重混响设计 141.单片机呼叫系统的设计 142.基于FPGA和锁相环4046实现波形发生器 143.基于FPGA的数字通信系统 144.基于单片机的带智能自动化的红外遥控小车 145.基于单片机AT89C51的语音温度计的设计 146.智能楼宇设计 147.移动电话接收机功能电路 148.单片机演奏音乐歌曲装置的设计 149.单片机电铃系统设计 150.智能电子密码锁设计 151.八路智能抢答器设计 152.组态控制抢答器系统设计 153.组态控制皮带运输机系统设计 154..基于单片机控制音乐门铃 155.基于单片机控制文字的显示 156.基于单片机控制发生的数字音乐盒 157.基于单片机控制动态扫描文字显示系统的设计 158.基于LMS自适应滤波器的MATLAB实现 功率放大器毕业论文 160.无线射频识别系统发射接收硬件电路的设计 161.基于单片机PIC16F877的环境监测系统的设计 162.基于ADE7758的电能监测系统的设计 163.智能电话报警器 164.数字频率计 课程设计 165.多功能数字钟电路设计 课程设计 166.基于VHDL数字频率计的设计与仿真 167.基于单片机控制的电子秤 168.基于单片机的智能电子负载系统设计 169.电压比较器的模拟与仿真 170.脉冲变压器设计 仿真技术及应用 172.基于单片机的水温控制系统 173.基于FPGA和单片机的多功能等精度频率计 174.发电机-变压器组中微型机保护系统 175.基于单片机的鸡雏恒温孵化器的设计 176.数字温度计的设计 177.生产流水线产品产量统计显示系统 178.水位报警显时控制系统的设计 179.红外遥控电子密码锁的设计 180.基于MCU温控智能风扇控制系统的设计 181.数字电容测量仪的设计 182.基于单片机的遥控器的设计 电话卡代拨器的设计 184.数字式心电信号发生器硬件设计及波形输出实现 185.电压稳定毕业设计论文 186.基于DSP的短波通信系统设计(IIR设计) 187.一氧化碳报警器 188.网络视频监控系统的设计 189.全氢罩式退火炉温度控制系统 190.通用串行总线数据采集卡的设计 191.单片机控制单闭环直流电动机的调速控制系统 192.单片机电加热炉温度控制系统 193.单片机大型建筑火灾监控系统 接口设备驱动程序的框架设计 195.基于Matlab的多频率FMICW的信号分离及时延信息提取 196.正弦信号发生器 197.小功率UPS系统设计 198.全数字控制SPWM单相变频器 199.点阵式汉字电子显示屏的设计与制作 200.基于AT89C51的路灯控制系统设计 200.基于AT89C51的路灯控制系统设计 201.基于AT89C51的宽范围高精度的电机转速测量系统 202.开关电源设计203.基于PDIUSBD12和K9F2808简易USB闪存设计 204.微型机控制一体化监控系统205.直流电机试验自动采集与控制系统的设计 206.新型自动装弹机控制系统的研究与开发 207.交流异步电机试验自动采集与控制系统的设计208.转速闭环控制的直流调速系统的仿真与设计209.基于单片机的数字直流调速系统设计210.多功能频率计的设计信息移频信号的频谱分析和识别212.集散管理系统—终端设计213.基于MATLAB的数字滤波器优化设计214.基于AT89C51SND1C的MP3播放器215.基于光纤的汽车CAN总线研究216.汽车倒车雷达217.基于DSP的电机控制218.超媒体技术219.数字电子钟的设计与制作220.温度报警器的电路设计与制作221.数字电子钟的电路设计222.鸡舍电子智能补光器的设计223.高精度超声波传感器信号调理电路的设计224.电子密码锁的电路设计与制作225.单片机控制电梯系统的设计226.常用电器维修方法综述227.控制式智能计热表的设计228.电子指南针设计229.汽车防撞主控系统设计230.单片机的智能电源管理系统231.电力电子技术在绿色照明电路中的应用232.电气火灾自动保护型断路器的设计233.基于单片机的多功能智能小车设计234.对漏电保护器安全性能的剖析235.解析民用建筑的应急照明236.电力拖动控制系统设计237.低频功率放大器设计238.银行自动报警系统

数字频率计毕业论文设计

以下均可参考,从参考网址进入,合适的话,给我加分!谢谢1.基于labVIEW虚拟滤波器的设计与实现 2.双闭环直流调速系统设计3.单片机脉搏测量仪 4.单片机控制的全自动洗衣机毕业设计论文电梯控制的设计与实现 6.恒温箱单片机控制7.基于单片机的数字电压表 8.单片机控制步进电机毕业设计论文9.函数信号发生器设计论文 变电所一次系统设计11.报警门铃设计论文 单片机交通灯控制13.单片机温度控制系统 通信系统中的接入信道部分进行仿真与分析15.仓库温湿度的监测系统 16.基于单片机的电子密码锁17.单片机控制交通灯系统设计 18.基于DSP的IIR数字低通滤波器的设计与实现19.智能抢答器设计 20.基于LabVIEW的PC机与单片机串口通信设计的IIR数字高通滤波器 22.单片机数字钟设计23.自动起闭光控窗帘毕业设计论文 24.三容液位远程测控系统毕业论文25.基于Matlab的PWM波形仿真与分析 26.集成功率放大电路的设计27.波形发生器、频率计和数字电压表设计 28.水位遥测自控系统 毕业论文29.宽带视频放大电路的设计 毕业设计 30.简易数字存储示波器设计毕业论文31.球赛计时计分器 毕业设计论文 数字滤波器的设计毕业论文机与单片机串行通信毕业论文 34.基于CPLD的低频信号发生器设计毕业论文变电站电气主接线设计 序列在扩频通信中的应用37.正弦信号发生器 38.红外报警器设计与实现39.开关稳压电源设计 40.基于MCS51单片机温度控制毕业设计论文41.步进电动机竹竿舞健身娱乐器材 42.单片机控制步进电机 毕业设计论文43.单片机汽车倒车测距仪 44.基于单片机的自行车测速系统设计45.水电站电气一次及发电机保护 46.基于单片机的数字显示温度系统毕业设计论文47.语音电子门锁设计与实现 48.工厂总降压变电所设计-毕业论文49.单片机无线抢答器设计 50.基于单片机控制直流电机调速系统毕业设计论文51.单片机串行通信发射部分毕业设计论文 52.基于VHDL语言PLD设计的出租车计费系统毕业设计论文53.超声波测距仪毕业设计论文 54.单片机控制的数控电流源毕业设计论文55.声控报警器毕业设计论文 56.基于单片机的锁相频率合成器毕业设计论文57.基于Multism/protel的数字抢答器 58.单片机智能火灾报警器毕业设计论59.无线多路遥控发射接收系统设计毕业论文 60.单片机对玩具小车的智能控制毕业设计论文61.数字频率计毕业设计论文 62.基于单片机控制的电机交流调速毕业设计论文63.楼宇自动化--毕业设计论文 64.车辆牌照图像识别算法的实现--毕业设计65.超声波测距仪--毕业设计 66.工厂变电所一次侧电气设计67.电子测频仪--毕业设计 68.点阵电子显示屏--毕业设计69.电子电路的电子仿真实验研究 70.基于51单片机的多路温度采集控制系统71.基于单片机的数字钟设计 72.小功率不间断电源(UPS)中变换器的原理与设计73.自动存包柜的设计 74.空调器微电脑控制系统75.全自动洗衣机控制器 76.电力线载波调制解调器毕业设计论文77.图书馆照明控制系统设计 78.基于AC3的虚拟环绕声实现79.电视伴音红外转发器的设计 80.多传感器障碍物检测系统的软件设计81.基于单片机的电器遥控器设计 82.基于单片机的数码录音与播放系统83.单片机控制的霓虹灯控制器 84.电阻炉温度控制系统85.智能温度巡检仪的研制 86.保险箱遥控密码锁 毕业设计变电所的电气部分及继电保护 88.年产26000吨乙醇精馏装置设计89.卷扬机自动控制限位控制系统 90.铁矿综合自动化调度系统91.磁敏传感器水位控制系统 92.继电器控制两段传输带机电系统93.广告灯自动控制系统 94.基于CFA的二阶滤波器设计95.霍尔传感器水位控制系统 96.全自动车载饮水机97.浮球液位传感器水位控制系统 98.干簧继电器水位控制系统99.电接点压力表水位控制系统 100.低成本智能住宅监控系统的设计101.大型发电厂的继电保护配置 102.直流操作电源监控系统的研究103.悬挂运动控制系统 104.气体泄漏超声检测系统的设计105.电压无功补偿综合控制装置 型无功补偿装置控制器的设计电机调速 频段窄带调频无线接收机109.电子体温计 110.基于单片机的病床呼叫控制系统111.红外测温仪 112.基于单片微型计算机的测距仪113.智能数字频率计 114.基于单片微型计算机的多路室内火灾报警器115.信号发生器 116.基于单片微型计算机的语音播出的作息时间控制器117.交通信号灯控制电路的设计 118.基于单片机步进电机控制系统设计119.多路数据采集系统的设计 120.电子万年历 121.遥控式数控电源设计 降压变电所一次系统设计 变电站一次系统设计 124.智能数字频率计 125.信号发生器126.基于虚拟仪器的电网主要电气参数测试设计 127.基于FPGA的电网基本电量数字测量系统的设计 128.风力发电电能变换装置的研究与设计 129.电流继电器设计 130.大功率电器智能识别与用电安全控制器的设计 131.交流电机型式试验及计算机软件的研究 132.单片机交通灯控制系统的设计 133.智能立体仓库系统的设计 134.智能火灾报警监测系统 135.基于单片机的多点温度检测系统 136.单片机定时闹钟设计 137.湿度传感器单片机检测电路制作 138.智能小车自动寻址设计--小车悬挂运动控制系统 139.探讨未来通信技术的发展趋势 140.音频多重混响设计 141.单片机呼叫系统的设计 142.基于FPGA和锁相环4046实现波形发生器 143.基于FPGA的数字通信系统 144.基于单片机的带智能自动化的红外遥控小车 145.基于单片机AT89C51的语音温度计的设计 146.智能楼宇设计 147.移动电话接收机功能电路 148.单片机演奏音乐歌曲装置的设计 149.单片机电铃系统设计 150.智能电子密码锁设计 151.八路智能抢答器设计 152.组态控制抢答器系统设计 153.组态控制皮带运输机系统设计 154..基于单片机控制音乐门铃 155.基于单片机控制文字的显示 156.基于单片机控制发生的数字音乐盒 157.基于单片机控制动态扫描文字显示系统的设计 158.基于LMS自适应滤波器的MATLAB实现 功率放大器毕业论文 160.无线射频识别系统发射接收硬件电路的设计 161.基于单片机PIC16F877的环境监测系统的设计 162.基于ADE7758的电能监测系统的设计 163.智能电话报警器 164.数字频率计 课程设计 165.多功能数字钟电路设计 课程设计 166.基于VHDL数字频率计的设计与仿真 167.基于单片机控制的电子秤 168.基于单片机的智能电子负载系统设计 169.电压比较器的模拟与仿真 170.脉冲变压器设计 仿真技术及应用 172.基于单片机的水温控制系统 173.基于FPGA和单片机的多功能等精度频率计 174.发电机-变压器组中微型机保护系统 175.基于单片机的鸡雏恒温孵化器的设计 176.数字温度计的设计 177.生产流水线产品产量统计显示系统 178.水位报警显时控制系统的设计 179.红外遥控电子密码锁的设计 180.基于MCU温控智能风扇控制系统的设计 181.数字电容测量仪的设计 182.基于单片机的遥控器的设计 电话卡代拨器的设计 184.数字式心电信号发生器硬件设计及波形输出实现 185.电压稳定毕业设计论文 186.基于DSP的短波通信系统设计(IIR设计) 187.一氧化碳报警器 188.网络视频监控系统的设计 189.全氢罩式退火炉温度控制系统 190.通用串行总线数据采集卡的设计 191.单片机控制单闭环直流电动机的调速控制系统 192.单片机电加热炉温度控制系统 193.单片机大型建筑火灾监控系统 接口设备驱动程序的框架设计 195.基于Matlab的多频率FMICW的信号分离及时延信息提取 196.正弦信号发生器 197.小功率UPS系统设计 198.全数字控制SPWM单相变频器 199.点阵式汉字电子显示屏的设计与制作 200.基于AT89C51的路灯控制系统设计 200.基于AT89C51的路灯控制系统设计 201.基于AT89C51的宽范围高精度的电机转速测量系统 202.开关电源设计203.基于PDIUSBD12和K9F2808简易USB闪存设计 204.微型机控制一体化监控系统205.直流电机试验自动采集与控制系统的设计 206.新型自动装弹机控制系统的研究与开发 207.交流异步电机试验自动采集与控制系统的设计208.转速闭环控制的直流调速系统的仿真与设计209.基于单片机的数字直流调速系统设计210.多功能频率计的设计信息移频信号的频谱分析和识别212.集散管理系统—终端设计213.基于MATLAB的数字滤波器优化设计214.基于AT89C51SND1C的MP3播放器215.基于光纤的汽车CAN总线研究216.汽车倒车雷达217.基于DSP的电机控制218.超媒体技术219.数字电子钟的设计与制作220.温度报警器的电路设计与制作221.数字电子钟的电路设计222.鸡舍电子智能补光器的设计223.高精度超声波传感器信号调理电路的设计224.电子密码锁的电路设计与制作225.单片机控制电梯系统的设计226.常用电器维修方法综述227.控制式智能计热表的设计228.电子指南针设计229.汽车防撞主控系统设计230.单片机的智能电源管理系统231.电力电子技术在绿色照明电路中的应用232.电气火灾自动保护型断路器的设计233.基于单片机的多功能智能小车设计234.对漏电保护器安全性能的剖析235.解析民用建筑的应急照明236.电力拖动控制系统设计237.低频功率放大器设计238.银行自动报警系统

第1节 引言 数字频率计概述 频率测量仪的设计思路与频率的计算 基本设计原理3第2节 数字频率计(低频)的硬件结构设计4 系统硬件的构成系统工作原理图单片机及其引脚说明 信号调理及放大整形模块时基信号产生电路显示模块8第3节 软件设计 定时计数 量程转换 BCD转换 LCD显示15第4节 结束语 16参考文献 20附录 汇编源程序代码28

这样类型的文章 我知道怎么写 老师有问题 包修改 包通过 ↓↓↓↓↓下面可以找到我

这是大规模数字集成电路在系统可编程领域的经典课程设计。数字频率计是近代电子技术领域的重要测量工具之一,同时也是其他许多领域广泛应用的测量仪器。数字频率计是在规定的基准时间内把测量的脉冲数记录下来,换算成频率并以数字形式显示出来。数字频率计用于测量信号(方波,正弦波或其他周期信号)的频率,并用十进制数字显示,它具有精度高,测量速度快,读数直观,使用方便等优点。一个用VHDL语言实现的实例如下:-- Project Name: 恒精度频率计-- Target Devices: FPGA or CPLD-- Revision - File Created-- Comments: clk--系统工作时钟,2MHz-------------reset--系统复位信号,高电平有效-------------Fx--为待测信号-------------FreqNx--为待测信号的计数值-------------FreqNs--为标准信号的计数值-------------Freq--为待测信号的频率------------------------------------------------------------------------------------library IEEE;use ;use ;use ;----------------------------------------------------------entity Cymometer is generic(clk_freq : integer := 2000000);--系统工作时钟频率 Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; Fx : in STD_LOGIC; ----待测信号 FreqNs : out natural; FreqNx : out natural); --Freq : out natural);end Cymometer;----------------------------------------------------------architecture Behavioral of Cymometer is---------------------------------------- signal start : STD_LOGIC;--此信号为高电平时计数器开始计数 signal CTRL : STD_LOGIC;--CTRL信号为待测信号和门控信号产生的计数器启动信号 signal CNTx : natural;--待测信号计数器 signal CNTs : natural;--标准信号计数器----------------------------------------begin--***************************************----产生一个门控信号,高电平有效 GateCtrl : process(clk) --------------------------- variable CNT0 : integer range 0 to 2_097_152;--门控信号计数器 --------------------------- begin if rising_edge(clk) then if reset='1' then CNT0 := 0; else CNT0 := CNT0 + 1; end if; --------- if reset='1' then start <= '0'; elsif CNT0 < (clk_freq*3/4) then start <= '1'; else start <= '0'; end if; end if; end process GateCtrl;--***************************************----产生CTRL信号,由待测信号和门控信号产生的计数器启动信号 CtrlGen : process(Fx) begin if rising_edge(Fx) then if reset='1' then CTRL <= '0'; else CTRL <= start; end if; end if; end process CtrlGen;--***************************************----用两个计数器分别对标准信号clk和待测信号signal计数------------------------------------计数标准信号,CTRL高电平期间有效 CountS : process(clk) begin if rising_edge(clk) then if reset='1' then CNTs <= 0; elsif CTRL='1' then CNTs <= CNTs + 1; else CNTs <= 0; end if; end if; end process CountS;------------------------------------计数待测信号,CTRL高电平期间有效 CountX : process(Fx) begin if rising_edge(Fx) then if reset='1' then CNTx <= 0; elsif CTRL='1' then CNTx <= CNTx + 1; else CNTx <= 0; end if; end if; end process CountX;--***************************************----CTRL下降沿将技术结果和测量值输出 CountOut : process(CTRL) begin if falling_edge(CTRL) then if reset='1' then FreqNs <= 0; FreqNx <= 0;-- Freq <= 0; else FreqNs <= CNTs; FreqNx <= CNTx;-- Freq <= (clk_freq / CNTs * CNTx); end if; end if; end process CountOut;end Behavioral;下面是为上面的模块编写的测试平台,在Modelsim下仿真通过,因为数据量较大,建议不要使用Altera及ISE仿真。--------------------------------------------------------------------------------LIBRARY ieee;USE ;USE ;USE ; ENTITY tb ISEND tb; ARCHITECTURE behavior OF tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Cymometer PORT( clk : IN std_logic; reset : IN std_logic; Fx : IN std_logic; FreqNs : OUT natural; FreqNx : OUT natural; Freq : OUT natural ); END COMPONENT;--Inputs signal clk : std_logic := '0'; signal reset : std_logic := '1'; signal Fx : std_logic := '0'; --Outputs signal FreqNs : natural; signal FreqNx : natural;-- signal Freq : natural; -- Clock period definitions constant clk_period : time := 500ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: Cymometer PORT MAP ( clk => clk, reset => reset, Fx => Fx, FreqNs => FreqNs, FreqNx => FreqNx, -- Freq => Freq ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; --产生待测信号 Fx_process : process begin Fx <= '0'; wait for 2*clk_period; Fx <= '1'; wait for 2*clk_period; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100ms. wait for clk_period*10; reset <= '0'; -- insert stimulus here wait; end process;END;参考原理M/T测频法。

数字频率计的设计与实现毕业论文

这是大规模数字集成电路在系统可编程领域的经典课程设计。数字频率计是近代电子技术领域的重要测量工具之一,同时也是其他许多领域广泛应用的测量仪器。数字频率计是在规定的基准时间内把测量的脉冲数记录下来,换算成频率并以数字形式显示出来。数字频率计用于测量信号(方波,正弦波或其他周期信号)的频率,并用十进制数字显示,它具有精度高,测量速度快,读数直观,使用方便等优点。一个用VHDL语言实现的实例如下:-- Project Name: 恒精度频率计-- Target Devices: FPGA or CPLD-- Revision - File Created-- Comments: clk--系统工作时钟,2MHz-------------reset--系统复位信号,高电平有效-------------Fx--为待测信号-------------FreqNx--为待测信号的计数值-------------FreqNs--为标准信号的计数值-------------Freq--为待测信号的频率------------------------------------------------------------------------------------library IEEE;use ;use ;use ;----------------------------------------------------------entity Cymometer is generic(clk_freq : integer := 2000000);--系统工作时钟频率 Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; Fx : in STD_LOGIC; ----待测信号 FreqNs : out natural; FreqNx : out natural); --Freq : out natural);end Cymometer;----------------------------------------------------------architecture Behavioral of Cymometer is---------------------------------------- signal start : STD_LOGIC;--此信号为高电平时计数器开始计数 signal CTRL : STD_LOGIC;--CTRL信号为待测信号和门控信号产生的计数器启动信号 signal CNTx : natural;--待测信号计数器 signal CNTs : natural;--标准信号计数器----------------------------------------begin--***************************************----产生一个门控信号,高电平有效 GateCtrl : process(clk) --------------------------- variable CNT0 : integer range 0 to 2_097_152;--门控信号计数器 --------------------------- begin if rising_edge(clk) then if reset='1' then CNT0 := 0; else CNT0 := CNT0 + 1; end if; --------- if reset='1' then start <= '0'; elsif CNT0 < (clk_freq*3/4) then start <= '1'; else start <= '0'; end if; end if; end process GateCtrl;--***************************************----产生CTRL信号,由待测信号和门控信号产生的计数器启动信号 CtrlGen : process(Fx) begin if rising_edge(Fx) then if reset='1' then CTRL <= '0'; else CTRL <= start; end if; end if; end process CtrlGen;--***************************************----用两个计数器分别对标准信号clk和待测信号signal计数------------------------------------计数标准信号,CTRL高电平期间有效 CountS : process(clk) begin if rising_edge(clk) then if reset='1' then CNTs <= 0; elsif CTRL='1' then CNTs <= CNTs + 1; else CNTs <= 0; end if; end if; end process CountS;------------------------------------计数待测信号,CTRL高电平期间有效 CountX : process(Fx) begin if rising_edge(Fx) then if reset='1' then CNTx <= 0; elsif CTRL='1' then CNTx <= CNTx + 1; else CNTx <= 0; end if; end if; end process CountX;--***************************************----CTRL下降沿将技术结果和测量值输出 CountOut : process(CTRL) begin if falling_edge(CTRL) then if reset='1' then FreqNs <= 0; FreqNx <= 0;-- Freq <= 0; else FreqNs <= CNTs; FreqNx <= CNTx;-- Freq <= (clk_freq / CNTs * CNTx); end if; end if; end process CountOut;end Behavioral;下面是为上面的模块编写的测试平台,在Modelsim下仿真通过,因为数据量较大,建议不要使用Altera及ISE仿真。--------------------------------------------------------------------------------LIBRARY ieee;USE ;USE ;USE ; ENTITY tb ISEND tb; ARCHITECTURE behavior OF tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Cymometer PORT( clk : IN std_logic; reset : IN std_logic; Fx : IN std_logic; FreqNs : OUT natural; FreqNx : OUT natural; Freq : OUT natural ); END COMPONENT;--Inputs signal clk : std_logic := '0'; signal reset : std_logic := '1'; signal Fx : std_logic := '0'; --Outputs signal FreqNs : natural; signal FreqNx : natural;-- signal Freq : natural; -- Clock period definitions constant clk_period : time := 500ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: Cymometer PORT MAP ( clk => clk, reset => reset, Fx => Fx, FreqNs => FreqNs, FreqNx => FreqNx, -- Freq => Freq ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; --产生待测信号 Fx_process : process begin Fx <= '0'; wait for 2*clk_period; Fx <= '1'; wait for 2*clk_period; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100ms. wait for clk_period*10; reset <= '0'; -- insert stimulus here wait; end process;END;参考原理M/T测频法。

第1节 引言 数字频率计概述 频率测量仪的设计思路与频率的计算 基本设计原理3第2节 数字频率计(低频)的硬件结构设计4 系统硬件的构成系统工作原理图单片机及其引脚说明 信号调理及放大整形模块时基信号产生电路显示模块8第3节 软件设计 定时计数 量程转换 BCD转换 LCD显示15第4节 结束语 16参考文献 20附录 汇编源程序代码28

这样类型的文章 我知道怎么写 老师有问题 包修改 包通过 ↓↓↓↓↓下面可以找到我

1.频率计是干什么的?问这个问题的应该不是工科生吧!不是工科生做什么频率计啊~~哪凉快待哪去

频率计数器电路设计毕业论文

1、 用FPGA实现控制基于I2C总线的EEPROM 2、 基于FPGA的简单OEM板GPS接收机设计 3、 基于FPGAD的数字频率计设计 4、 [电气工程]基于FPGA的电网基本电量数字测量系统的设计 5、 [电子信息工程]基于单片机和FPGA的位同步信号提取 6、 基于FPGA的数字通信系统 7、 基于FPGA和锁相环4046实现波形发生器 8、 UC/OSII在FPGA上的移植 9、 基于FPGA的IIR滤波器设计 10、 基于FPGA的TD-SCDMA信道编解码技术研究与实现(硕士) 11、 基于ARM和FPGA的数控系统的硬件设计(硕士) 12、 基于FPGA的JPEG压缩编码的研究与实现(硕士) 13、 OFDM通信系统基带数据处理部分的FPGA实现 14、 FPGA应用实验板设计 15、 UWB-OFDM解调器的仿真及FPGA在线仿真实现 16、 高速VITERBI译码器在ALTERA FPGA中的设计与实现 17、 基于FPGA温、湿度传感器系统设计 18、 基于FPGA的嵌入式系统开发板 19、 卫星信道延时模拟器的FPGA实现 20、 基于Altera FPGA的发动机ECU原型设计 21、 基于FPGA设计电梯控制系统 22、 FPGA在机卡分离式高清数字一体电视机里的应用 23、 PSK调制算法仿真与FPGA实现 24、 基于FPGA的数字复接系统帧同步器的设计

参考这个文库,网页链接

虽然他的方案很不完善,可以提供入门的思路。

做频率计,基本的结构有:

(1)前端整形电路,能把低于数字逻辑阈值的信号限幅放大到标准的TTL或CMOS或ECL电平。高频频率计前端还要有前置分频器。简单练手,这部分可以暂时舍掉。

(2)参考时钟以及门控信号。闸门时间内计数,闸门时间决定小数点位置。2‰精度,考虑用晶体振荡器做本地时钟,时钟基本可以免调试。

(3)计数器逻辑,各种标准数字芯片,十进制的比较方便

(4)译码和显示电路,有各种标准数字芯片

原则上来讲,频率计分计数和计周期两块电路。高频率信号是本地闸门时间内计输入信号脉冲数,直接得到频率,误差为±1个数字;低频率信号是低频信号周期内计本地参考时钟数,得到时间数值,反过来折算频率。

可以用单片机做,用有定时器有外部计数功能的单片机;最好是用CPLD或FPGA配合HDL语言做,逻辑实现和电路连接上大大简化。

简易频率计一、设计任务与要求1.设计制作一个简易频率测量电路,实现数码显示。2.测量范围:10Hz~ 3.测量精度: 10Hz。4. 输入信号幅值:20mV~5V。5. 显示方式:4位LED数码。二、方案设计与论证频率计是用来测量正弦信号、矩形信号、三角形信号等波形工作频率的仪器,根据频率的概念是单位时间里脉冲的个数,要测被测波形的频率,则须测被测波形中1S里有多少个脉冲,所以,如果用一个定时时间1S控制一个闸门电路,在时间1S内闸门打开,让被测信号通过而进入计数译码器电路,即可得到被测信号的频率fx。任务要求分析:频率计的测量范围要求为10Hz~,且精度为10Hz,所以有用4片10进制的计数器构成1000进制对输入的被测脉冲进行计数;要求输入信号的幅值为20mV~5V,所以要经过衰减与放大电路进行检查被测脉冲的幅值;由于被测的波形是各种不同的波,而后面的闸门或计数电路要求被测的信号必须是矩形波,所以还需要波形整形电路;频率计的输出显示要经过锁存器进行稳定再通过4位LED数码管进行显示。经过上述分析,频率计电路设计的各个模块如下图:方案一:根据上述分析,频率计定时时间1s可以通过555定时器和电容、电阻构成的多谐振荡器产生1000Hz的脉冲,再进行分频成1Hz即周期为1s的脉冲,再通过T触发器把脉冲正常高电平为1s;放大整形电路通过与非门、非门和二极管组成;闸门电路用一个与门,只有在定时脉冲为高电平时输入信号才能通过与门进入计数电路计数;计数电路可以通过5个十进制的计数器组成,计数器再将计的脉冲个数通过锁存器进行稳定最后通过4个LED数码显像管显示出来。方案二:频率计定时时间1s可以直接通过555定时器和电容、电阻构成的多谐振荡器产生1Hz的脉冲,再通过T触发器把脉冲正常高电平为1s;放大整形电路可以直接用一个具有放大功能的施密特触发器对输入的信号进行整形放大,其他模块的电路和方案一的相同。通过对两种方案的分析,为了减少总的电路的延迟时间,提高测量精确度,所以选择元件少的第二种方案。三、单元电路设计与参数计算时基电路:用555_VIRTUAL定时器和电容、电阻组成多谐振荡器产生1Hz的脉冲,根据书中的振荡周期 : T=(R1+R2)C*ln2 取C=10uF,R1=2KΩ,T=1s,计算得:R2=Ω,再通过T触发器T_FF把脉冲正常高电平为1s的脉冲,元件的连接如下: 经示波器仿真,产生的脉冲的高电平约为1S。放大整形电路:用一个74HC14D_4V的含放大功能的施密特触发器对输入脉冲进行放大整形,把输入信号放大整形成4V的矩形脉冲,其放大整形效果如下图: 闸门电路:用一个与门74LS08作为脉冲能否通过的闸门,当定时信号Q为高电平时,闸门打开,输入信号进入计数电路进行计数,否则,其不能通过闸门。计数电路:计数电路用5(4)片74192N计数器组成100000(10000)进制的计数电路,74192N是上升沿有效的,来一个脉冲上升沿,电路记一次数,所以计数的范围为0~99999(5000)。但计数1S后要对计数器进行清零或置零,在这里用清零端,高电平有效,当计数1S后,Q为低电平,Q’为高电平,所以用Q’作为清零信号,接线图如下: 锁存显示电路:当计数电路计数结束时,要把计得脉冲数锁存通过数码显示管稳定显示出来。锁存器用2片74ls273,时钟也是上升沿有效,当Q为下降沿时,Q’恰好是上升沿,所以用Q’作为锁存器的时钟,恰能在计数结束时把脉冲数锁存显示,电路的接线图如下: 四、总电路工作原理及元器件清单1.总原理图 2.电路完整工作过程描述(总体工作原理) 555组成的多谐振荡器产生1Hz的脉冲,经过T触发器整形成高电平时间为1S的脉冲,高电平脉冲打开闸门74LS08N,让经施密特触发器74HC14D放大整形的被测脉冲通过,进入计数器进行1S的计数。当计数结束时,T触发器的Q为下降沿,Q’刚好为上升沿,触发锁存器工作,让计数器输出的信号通过锁存器锁存显示,同时,高电平的Q’信号对计数电路进行清零,此后,电路将循环上述过程,但对于同一个被测信号,在误差的允许范围内,LED上所显示的数字是稳定的。3.元件清单元件序号 型号 主要参数 数量 备注 1 74192 5 加法计数器 2 74LS273 2 锁存器3 DCD_HEX 4 LED显示器4 555_VIRTUAL 1 定时器5 T_FF 1 T触发器6 CAPACITOR_RATED 电容10Uf、额定电压50V 1 电容7 CAPACITOR_RATED 电容10Nf、额定电压10V 1 电容8 RES 阻值2KΩ 1 9 RES 阻值 1 10 74LS08 1 双输入与门11 74HC14D_4V 1 施密特触发器,放大电压4V12 AC_VOLTAGE 1 可调的正弦脉冲信号五、仿真调试与分析把各个模块组合起来后,进行仿真调试以达到任务要求。① 在信号输入端输入10Hz的交流脉冲,仿真,结果如下: 说明仿真的结果准确② 在信号输入端输入300Hz的交流脉冲,仿真,结果如下: 仿真结果准确③ 在信号输入端输入3KHz正弦脉冲,仿真,结果如下: ④输入20KHz的正弦脉冲,仿真,结果如下: 仿真结果结果与实际的结果相差20Hz,这说明频率越高,误差越大。经分析,这是由于各个元器件存在着延迟时间,1S的脉冲,经过各个元器件的延迟,计数时间会大于1s,频率越高,误差越大,所以计数的时间要稍微小于1S,调小时基电路的R3为Ω,仿真,结果如下: 还是存在误差,经过多次调节R3仿真,最后确定R3为 KΩ时对于各个频率的测试都比较准确,20KHz时仿真结果如下: 所以R3为Ω是测得的各个频率值都比较准确,且电路设计都符合测任务要求。六、结论与心得 在这次课程设计的过程中,我收获不少。首先,我学会了把一个电路分成模块去设计,最后再整合,这样可以把一个复杂的电路简单化了,并且这样方便与调试与修改;其次,设计有助了我去自学一些元器件的功能,去运用它;再次,我也初步会用multisim软件设计电路;最后,这次课程设计也提高了我查找问题、思考问题和解决问题的能力,还锻炼了我的耐性。在这次课程设计中也遇到了很多问题,首先,是对元器件了解不多,对于要实现某种功能不知道用那一种元件,所以问同学,上网收索,再了解这种元件的逻辑功能,学会去用它;其次,不大会用电路设计软件,一开始用EWB软件设计,对模块仿真可以,但整合整个原理图仿真却不行,通过示波器观察输出波形发现脉冲走了一小段却停止了,以为是电路有问题,就查找了很多遍才找出问题,原来在那个软件仿真时是不允许存在两个信号,所以重新用multisim设计,才可以;最后,在用multisim仿真高频率时仿真速度极慢,所以调整了软件的仿真最大步长,但问题又出现了,信号紊乱,数码管显示数字不一,然后就猜想会不会是元件的问题,太高频率元件来不及反应就输出结果,但上网寻找答案,原来是软件的仿真步长会影响仿真的精确度,所以,某一范围的频率仿真,要用相应的最大仿真步长。这个题目的设计花了自己不少心血,有时甚至一整天在弄,但是当自己成功地设计出电路时所获得的那一份成就感是无法表达的,所以整个电路的设计过程充满着苦恼与乐趣。七、参考文献 [1] 阎石 《数字电子技术基本教程》第一版 ,清华大学出版社,

没图[捂脸]

数字温度计设计的毕业论文

温度计能够显示时间,温度,日历,这个我以前做过的

一篇完整的关于数字温度计的毕业设计或者毕业论文

基于DS18B20温度传感器的数字温度计设计字数:9092,页数:26 论文编号:JD457 价格:120元基于DS18B20温度传感器的数字温度计设计摘要:本文介绍了一种基于DS18B20的数字温度计设计方案。方案利用AT89S52单片机控制DS18B20进行数据采集并由HS1602液晶显示模块显示结果,另外,采集结果可由RS-232-C接口送入计算机显示并存储。按键控制实现过界报警温度设定和实时监控,利用AT24C08芯片进行存储,实现温度测量存储与再现。关键字:温度采集,存储再现,过界报警,串行通信目 录摘要.....................................................................1关键字...................................................................11 引言...................................................................22 总体设计................................................................. 方案论证.............................................................. 总体设计...............................................................33 硬件设计................................................................. 单片机系统 ............................................................. 温度传感器模块........................................................... 存储模块................................................................ 液晶显示模块 ............................................................ 串口通信模块............................................................ 电源模块 ...............................................................124 软件设计.................................................................. 主程序流程.............................................................. DS18B20模块程序设计...................................................... HS1602驱动程序设计....................................................... AT24C08存储模块程序设计................................................... RS-232-C串口通信模块程序设计..............................................195 测试及结果分析 .............................................................226 附录 ......................................................................237 参考资料...................................................................24以上回答来自:

价格合理!信工毕业 就会单片机

相关百科