杂志信息网-创作、查重、发刊有保障。

电子数字钟的毕业论文

发布时间:2024-07-05 20:52:29

电子数字钟的毕业论文

基于51单片机的遥控电子钟的设计 第二十六页Ji Yu 5 1 Dan Pian Ji De Yao Kong Dian Zi Zhong De She Ji更新时间:2011-1-4点击:2作者:佚名【内容摘要】本毕业设计项目根据毕业设计任务书指定和我校高职高专特点的要求,体现毕业生的实践动手能力、创新思维、解决问题的能力和对所学知识的综合运用能力,为学校教学楼设计制作一套遥控电子钟系统,整个系统中的大型数码管、控制电路、遥控发射和接收电路、印刷电路板、编程器以及外壳等自己设计制作,可实现如下功能: 1、 采用数字显示,外形美观、大方,显示醒目、直观。 2、 秒、分钟及小时的显示,计时准确,每年的时间误差小于一分钟。 3、 可显示星期,不得有误差。 4、 可用遥控来对数字钟进行调整,便于使用。市电断电后能继续保持时间的正常运行,来电后恢复显示。 标签收藏:51单片机 遥控电子钟 设计 遥控 电子钟 单片机 该文章转自《论文帮 - 应用基础频道》

相关资料:数字电路设计——简易多功能数字钟设计一、功能描述本数字钟完全模拟现实生活中的数字电子表设计,具有以下几项功能。1. 记数(数字电子表功能),能产生0:00:00~23:59:59的时间;2. 清零;3. 调时和整点报时;4. 调分。二、顶层文件输入管脚说明1。Clk——————脉冲输入端(接183管脚,频率为80MHz);2.Reset——————清零端;3.Switch——————功能选择输入端;4.Set——————调时\调分输入端。三、各模块设计介绍本数字钟采用模块设计方法,各个模块分别为分频器模块(用于产生秒脉冲)、三进制模块、60进制模块、24进制模块、整点报时模块和7段数码显示译码模块,现分别介绍如下:1、分频器模块,用于产生数字钟所需要的秒脉冲,生成的模块文件如下。SUBDESIGN fenpin(clk :INPUT;cout :OUTPUT;)VARIABLEcount[26..0] :DFF;f :DFF;BEGINcount[].clk=clk;;IF count[]==19999999 THEN count[]=0; f=!f;ELSE count[]=count[]+1; f=f;END IF;cout=f;END;2、三进制模块,主要用于功能选择,0——记数、1——调时、2——调分,程序如下SUBDESIGN counter3(clk :INPUT;out3[1..0] :OUTPUT;)VARIABLEcount[1..0] :DFF;BEGINcount[].clk=clk;IF (count[].q==2) THEN count[]=0;ELSE count[]=count[]+1;END IF;out3[]=count[];END; 3、60进制记数器,用于秒和分的记数,功能与常用电子表一样,AHDL设计如下SUBDESIGN counter60(clk,reset :INPUT;q,out60[7..0] :OUTPUT;)VARIABLEcount[6..0],s :DFF;BEGINcount[].clk=clk;count[].clrn=!reset;;!reset;q=;IF count[].q=9) THEN count[].d=count[].q+7; ELSE count[].d=count[].q+1; END IF;ELSE count[].d=0; ;END IF;out60[7..0]=(0,count[].q);END;4、24进制计数器,用于小时记数,AHDL设计如下SUBDESIGN counter24(clk,reset :INPUT;q,out24[7..0] :OUTPUT;)VARIABLEcount[7..0] :DFF;BEGINcount[].clk=clk;count[].clrn=!reset;%(aa[],bb[]).clrn=!clr;%IF (count[].qa,b,c,d,e,f,g;H"0" =>1,1,1,1,1,1,0;H"1" =>0,1,1,0,0,0,0;H"2" =>1,1,0,1,1,0,1;H"3" =>1,1,1,1,0,0,1;H"4" =>0,1,1,0,0,1,1;H"5" =>1,0,1,1,0,1,1;H"6" =>1,0,1,1,1,1,1;H"7" =>1,1,1,0,0,0,0;H"8" =>1,1,1,1,1,1,1;H"9" =>1,1,1,1,0,1,1;END TABLE;END;四、顶层文件设计。主要用于将个模块合成最终的应用程序,AHDL设计如下(由于数码管数量有限,所以只用了两个数码管显示秒位)。include "";include "";include "";include "";include "";include "";SUBDESIGN clock(clk,reset :INPUT;switch,set :INPUT;hour[7..0],minute[7..0],second[13..0],alt :OUTPUT;)VARIABLEs:counter60;m:counter60;h:counter24;temp:counter3;sh,sl:7segment;worning:alert;fp:fenpin;;()=reset;;[]=[];alt=;CASE [] IS WHEN 0 => ; ; ; WHEN 1 => ; ; ; WHEN 2 => ; ; ;END CASE;%second[]=[];%minute[]=[];hour[]=[];[]=[7..4];second[13..7]=();[]=[3..0];second[6..0]=();%[]=[7..4];minute[13..7]=();[]=[3..0];minute[6..0]=();[]=[7..4];hour[13..7]=();[]=[3..0];hour[6..0]=();%END;五、波形仿真(如下图) 六、下载验证选择器件ACEX1K—>EP1K100QC208-3,分配管脚后用CPLDDNN下载成功,实验结果与波形仿真一样。仅供参考,请自借鉴希望对您有帮助

电子钟相关毕业设计 ·数字电子钟的电路设计 (字数:9242,页数:22 )·数字电子钟的设计与制作 (字数:8017,页数:22 )·数字钟的设计 (字数:6208,页数:21 )·基于8051单片机的数字钟 (字数:21638,页数:50)·基于单片机的电子时钟控制系统 (字数:7935,页数:42 )·数字电路数字钟设计 (字数:4846,页数:21 )·电子闹钟设计 (字数:4094,页数:19 )·定时闹钟设计 (字数:5714,页数:24 )·智能定时闹钟设计 (字数:3826,页数:18 )·下棋定时钟设计 (字数:5290,页数:24 )·多功能数字钟设计与制作 (字数:13129,页数:34)·基于单片机的电子钟设计 (字数:7710,页数:24 )·基于单片机的数字电子钟设计 (字数:10301,页数:42)·基于Labview的虚拟数字钟设计 (字数:17457,页数:32)·电子日历钟 (字数:10677,页数:33)·数字钟的设计与制作 (字数:4922,页数:23 )·单片机数字钟设计 (字数:15355,页数:47)·基于单片机的数字钟设计 (字数:12541,页数:27)·单片机定时闹钟设计 (字数:8450,页数:24 )·万年历可编程电子钟控电铃 (字数:14371.页数:41)·数字定时闹钟设计 (字数:7770,页数:28 )·基于EDA技术的数字电子钟设计 (字数:12247,页数:32)·多功能时钟打点系统设计 (字数:8353,页数:31 )·智能音乐闹钟设计 (字数:10002,页数:37)·基于AT89S51单片机的数字电子钟设计 (字数:14560,页数:39)

1. EDA教学实验设计实例——电子秒表电路的设计 被引次数:1次 艾明晶 金惠华 文献来自:仪器仪表学报 2001年 第S2期 MAX+PLUS开发系统本文详细介绍了一个 EDA教学实验的设计实例——电子秒表电路的设计。作者采用顶层图形设计的思想 ,对电子秒表电路的核心芯片——计时控制芯片进行设计 ,并介绍了在设计中所解决的各个关键问题。本文使用目前流行的一种 EDA软件平台——美国 Altera公司的 M ... 2. EDA教学实验设计实例——电子秒表电路的设计 艾明晶 金惠华 文献来自:中国仪器仪表学会第三届青年学术会议论文集(下) 2001年 第总第期 北京 100083本文详细介绍了一个EDA教学实验的设计实例——电子秒表电路的设计。作者采用顶层图形设计的思想,对电子秒表电路的核心芯片——计时控制芯片进行设计,并介绍了在设计中所解决的各个关键问题。本文使用目前流行的一种EDA软件平台——美国Altera公司的MAX+PL ... 3. 风扇电子定时器设计一例 仇德明 潘裕明 文献来自:家电科技 1987年 第03期 秒表:金雀电子秒表,上海手 表五厂产 现将以上5个样机试验结果进行分析,以便对本电路按理论计算式所得的定时时间T的置信度作一讨论:由于影响T的因素较多,如电容漏电流的离散性、不稳定性、门电路闭值电平vT。的差异 ... 本文介绍一种以数字电路为主兼顾成本与质量两者关系的三小时电子定时电路,具有一定的实用性。 ... 4. 实用多功能电子时钟设计 被引次数:1次 翟玉文 徐宏亮 艾学忠 王庆伟 赵岩 文献来自:吉林化工学院学报 2001年 第01期 通过按键可进行电子时钟与电子秒表功能的切换 ,可对电子时钟的显示内容、时间对时、闹钟定时等功能进行设定和对电子秒表开始计时、暂?... 动态显示介绍一种以AT89C5 1单片机为核心的实用多功能电子时钟设计 .该时钟具有年、月、日、星期、时、分、秒显示和整点音乐报时及定时闹钟等功能 ,也可作电子秒表使?... 5. 数字秒表的实验设计 邹华 文献来自:潍坊教育学院学报 1997年 第01期 、(图二J这样整个数字秒表就设计出来了。从电路图上可以看出,所用器件都比较简单,除有一定实用价值外,作为一个学生实验来做,既可系统地巩固所学知识,又有利于理论联系实际,实践证明,效果很好。39数字秒表的实验设计@邹华<正>秒表是一种 ... 6. 简易电子钟的设计 王韧 俞斌 文献来自:电子世界 2005年 第07期 仅通过程序设计,即可为电子钟增加年、月、日、星期以及闹铃、秒表等功能。◆图4图5图6图7简易电子钟的设计@王韧$湖南工学院电气与信息工程系 @俞斌$湖南工学院电气与信息工程?... 7. 用电子秒表取代打点计时器 朱成标 文献来自:物理实验 1995年 第03期 连接外接微动开关的引线aa'与bb'和电子秒表的连接方法如图2所示.aa'与相连的开关ANI、KZ相当于电子秒表按钮M,对电子秒表有复位/中间计时的控制作用 ... 电子秒表即可获得相应的计时控制信号.二、电子秒表的实验计时方法电子秒表用于实验计时有三种计时方法,即同步计时、中途一次计时、中途二物理实验第15卷第8期次计时 ... 8. 语音智能电子体温计设计 支长义 程志平 焦留成 文献来自:微计算机信息 2007年 第07期 450002河南郑州$郑州大学电气工程学院根据设计要求,以SPCE061A新型单片机为基础,通过对温度采样信号分析研究,给出了语音智能电子体温计设计电路,测试结果表明,该电路较为理想。SPCE061A单片机 ... 9. 电子秒表自动计时的研究 谢志堃 文献来自:绍兴文理学院学报 2004年 第10期 并用这个信号去控制电子秒表的触发端,以实现电子秒表自动起、停的计时功能.1电子秒表的自动计时研究 电子秒表具有分段计时的功能,因此可以用来测量运动物体经过某段距离的时间间隔 ... 通过对电子秒表的研究发现,从电子秒表的触发方式来看,只需对计时触发端提供一个电压就可以对电子秒表加以控制,实现自动计时的功能 ... 希望以上资料对你有帮助!

数字时钟的毕业论文

摘要 第一章绪论 第二章数字时钟简介 振荡器 分频器电路 计数器 译码显示电路 校时电路 报时电路 第三章设计步骤与方法 振荡电路 分频器电路 计数器 计数器六十进制的接法 二十四进制计数器的接法 译码显示电路 校时电路 整点报时电路 控制门电路部分 音响电路部分 第四章组装与调试 接通电源逐步调试 按顺序对电路连线和调试 总结 致 谢 参考文献

摘要 单片机是计算机科学的一个分支,广泛地被应用与各种领域。在有些单片机应用系统中所处理记录的一些数据是与时间密切相关的,这种情况有2种主要方法:一采用时钟芯片精确简单可靠。二就是在系统程序中集成时钟程序。本系统采用MCS51系列单片机(CISC复杂指令集单片机),在系统中软件实现时钟系统。可以应用到事务处理实时性要求不高、MCU相对空闲、但又需要对记录的数据提供时间参数的控制系统。本系统采用比较典型的MCS51系列中的ATMEL公司AT89C51单片机,ATMEL公司以其FLASH存储技术开发出8位高性能AVR系列单片机。(属于RISC精简指令集 8位单片机中性能领先)。AT89C51也亦采用这个FLASH程序存储技术使用和下载方便可靠。系统外围电路 有4片串入并出74HC164译码芯片驱动4个8段共阳LED构成静态LED显示电路 键盘采用独立键结构简单变成方便 晶振采用外部接法使用1个12M晶振和2个20P微调电容构成。复位电路采用简单的上电复位和按键复位(工业上常用813等看门狗芯片) 用多个发光2极管LED组成指示系统由于51 I/O驱动能力不是很强为了增加LED亮度应用了74HC04非门驱动。 在说明系统前介绍了单片机MCU 发展和相关的知识 以及一些常用的电路。 关键词: MCS51;AT89C51;AVR;RISC精简指令集;CISC复杂指令集; 74HC164;74HC04;FLASH 目录 摘要2 ABSTRACT 3 目录4 第一章 绪论 5 单片机概述 5 单片机的基本组成 5 单片机的特点 7 主要性能指标 7 单片机种类简介 8 麻烦采纳,谢谢!

关于电子闹钟的毕业论文

推荐你去淘宝的:翰林书店,店主应该能下载到这类论文。我去下过,很及时的

基于51单片机的遥控电子钟的设计 第二十六页Ji Yu 5 1 Dan Pian Ji De Yao Kong Dian Zi Zhong De She Ji更新时间:2011-1-4点击:2作者:佚名【内容摘要】本毕业设计项目根据毕业设计任务书指定和我校高职高专特点的要求,体现毕业生的实践动手能力、创新思维、解决问题的能力和对所学知识的综合运用能力,为学校教学楼设计制作一套遥控电子钟系统,整个系统中的大型数码管、控制电路、遥控发射和接收电路、印刷电路板、编程器以及外壳等自己设计制作,可实现如下功能: 1、 采用数字显示,外形美观、大方,显示醒目、直观。 2、 秒、分钟及小时的显示,计时准确,每年的时间误差小于一分钟。 3、 可显示星期,不得有误差。 4、 可用遥控来对数字钟进行调整,便于使用。市电断电后能继续保持时间的正常运行,来电后恢复显示。 标签收藏:51单片机 遥控电子钟 设计 遥控 电子钟 单片机 该文章转自《论文帮 - 应用基础频道》

基于单片机的电子时钟控制系统字数:7935,页数:42 论文编号:JD340 摘 要介绍了多功能数字钟的系统设计。系统具有时间设置及显示、闹钟等功能。本系统采用时钟芯片DS12C887和单片机AT89C51作为核心,通过外部键盘来实现对时钟芯片内部寄存器的修改,而完成对时钟数据的修改、闹钟时间的设定和铃声的编辑。有关的数据通过LCD来显示。系统带有液晶显示器,配合按键提供友好的用户界面,操作简单。该数字钟能长期、连续、可靠、稳定的工作;同时还具有体积小、功耗低等特点,便于携带,使用方便。单片机软件编程主要实现键盘、液晶显示。关键词:单片机,时钟芯片,键盘,LCDAbstractThis paper describes the design of a multi-functiond digital clock as displaying and setting time for clock and alarm .It is the system center that the real time clock DS12C887 and AT89C51. User can use the keyboard to modify the data that indicate the time data which include the daytime, alarm, date, etc. The data can be show by the LCD. It can be programmed the ringer which you like., this system has other special features such as temperature measurement and data protection at power faillure. The system takes liquid crystal display, matching with a key to provide amity of customer interface, the operation is simple, having the temperature examination function in the meantime, the clock data and the temperature data .consecution,credibility,stable work;Still have a physical volume in the meantime small,the power consume a low etc. characteristics, easy to take, the usage system software design includes a single slice a plait distance with two parts of machine calculator software plait distance mainly carries out a parameter constitution,string to go a people's data to receive,the instruction send out and data of manifestation with saving..Singlelicethemachinesoftwareplaitdistance,LCDmanifestation,temperature examination each mold of etc. piece. Key words: Single—Chip computer, the real time clock, keyboard, LCD 目 录摘要………………………………………………………………………………………2AbstrctI…………………………………………………………………………………21 绪论……………………………………………………………………………………数字钟的研究的背景及意 ………………………………………………………… 总体框图…………………………………………………………………………… 显示模块……………………………………………………………………………单片机的选择………………………………………………………………………时钟芯片的选择…………………………………………………………………… 声音模块…………………………………………………………………………… 键盘设计. …………………………………………………………………………6 本文的主要工作……………………………………………………………………62单元电路设计…………………………………………………………………………显示模块……………………………………………………………………………单片机与时钟芯片的连接…………………………………………………………声音模块……………………………………………………………………………键盘…………………………………………………………………………………总体电路……………………………………………………………………………程序设计……………………………………………………………………………本章小结……………………………………………………………………………423结 论…………………………………………………………………………………42谢辞……………………………………………………………………………………43参考文献………………………………………………………………………………43以上回答来自:

MAX+PLUS开发系统本文详细介绍了一个 EDA教学实验的设计实例——电子秒表电路的设计。作者采用顶层图形设计的思想 ,对电子秒表电路的核心芯片——计时控制芯片进行设计 ,并介绍了在设计中所解决的各个关键问题。本文使用目前流行的一种 EDA软件平台——美国 Altera公司的 M ... 2. EDA教学实验设计实例——电子秒表电路的设计 艾明晶 金惠华 文献来自:中国仪器仪表学会第三届青年学术会议论文集(下) 2001年 第总第期 北京 100083本文详细介绍了一个EDA教学实验的设计实例——电子秒表电路的设计。作者采用顶层图形设计的思想,对电子秒表电路的核心芯片——计时控制芯片进行设计,并介绍了在设计中所解决的各个关键问题。本文使用目前流行的一种EDA软件平台——美国Altera公司的MAX+PL ... 3. 风扇电子定时器设计一例 仇德明 潘裕明 文献来自:家电科技 1987年 第03期 秒表:金雀电子秒表,上海手 表五厂产 现将以上5个样机试验结果进行分析,以便对本电路按理论计算式所得的定时时间T的置信度作一讨论:由于影响T的因素较多,如电容漏电流的离散性、不稳定性、门电路闭值电平vT。的差异 ... 本文介绍一种以数字电路为主兼顾成本与质量两者关系的三小时电子定时电路,具有一定的实用性。 ... 4. 实用多功能电子时钟设计 被引次数:1次 翟玉文 徐宏亮 艾学忠 王庆伟 赵岩 文献来自:吉林化工学院学报 2001年 第01期 通过按键可进行电子时钟与电子秒表功能的切换 ,可对电子时钟的显示内容、时间对时、闹钟定时等功能进行设定和对电子秒表开始计时、暂?... 动态显示介绍一种以AT89C5 1单片机为核心的实用多功能电子时钟设计 .该时钟具有年、月、日、星期、时、分、秒显示和整点音乐报时及定时闹钟等功能 ,也可作电子秒表使?... 5. 数字秒表的实验设计 邹华 文献来自:潍坊教育学院学报 1997年 第01期 、(图二J这样整个数字秒表就设计出来了。从电路图上可以看出,所用器件都比较简单,除有一定实用价值外,作为一个学生实验来做,既可系统地巩固所学知识,又有利于理论联系实际,实践证明,效果很好。39数字秒表的实验设计@邹华<正>秒表是一种 ... 6. 简易电子钟的设计 王韧 俞斌 文献来自:电子世界 2005年 第07期 仅通过程序设计,即可为电子钟增加年、月、日、星期以及闹铃、秒表等功能。简易电子钟的设计@王韧$湖南工学院电气与信息工程系 @俞斌$湖南工学院电气与信息工程?7. 用电子秒表取代打点计时器 朱成标 文献来自:物理实验 1995年 第03期 连接外接微动开关的引线aa'与bb'和电子秒表的连接方法如图2所示.aa'与相连的开关ANI、KZ相当于电子秒表按钮M,对电子秒表有复位/中间计时的控制作用 ... 电子秒表即可获得相应的计时控制信号.二、电子秒表的实验计时方法电子秒表用于实验计时有三种计时方法,即同步计时、中途一次计时、中途二物理实验第15卷第8期次计时8. 语音智能电子体温计设计 支长义 程志平 焦留成 文献来自:微计算机信息 2007年 第07期 450002河南郑州$郑州大学电气工程学院根据设计要求,以SPCE061A新型单片机为基础,通过对温度采样信号分析研究,给出了语音智能电子体温计设计电路,测试结果表明,该电路较为理想。SPCE061A单片机 9. 电子秒表自动计时的研究 谢志堃 文献来自:绍兴文理学院学报 2004年 第10期 并用这个信号去控制电子秒表的触发端,以实现电子秒表自动起、停的计时功能.1电子秒表的自动计时研究 电子秒表具有分段计时的功能,因此可以用来测量运动物体经过某段距离的时间间隔 通过对电子秒表的研究发现,从电子秒表的触发方式来看,只需对计时触发端提供一个电压就可以对电子秒表加以控制,实现自动计时的功能希望以上资料对你有帮助.

电子闹钟系统的毕业论文

基于51单片机的遥控电子钟的设计 第二十六页Ji Yu 5 1 Dan Pian Ji De Yao Kong Dian Zi Zhong De She Ji更新时间:2011-1-4点击:2作者:佚名【内容摘要】本毕业设计项目根据毕业设计任务书指定和我校高职高专特点的要求,体现毕业生的实践动手能力、创新思维、解决问题的能力和对所学知识的综合运用能力,为学校教学楼设计制作一套遥控电子钟系统,整个系统中的大型数码管、控制电路、遥控发射和接收电路、印刷电路板、编程器以及外壳等自己设计制作,可实现如下功能: 1、 采用数字显示,外形美观、大方,显示醒目、直观。 2、 秒、分钟及小时的显示,计时准确,每年的时间误差小于一分钟。 3、 可显示星期,不得有误差。 4、 可用遥控来对数字钟进行调整,便于使用。市电断电后能继续保持时间的正常运行,来电后恢复显示。 标签收藏:51单片机 遥控电子钟 设计 遥控 电子钟 单片机 该文章转自《论文帮 - 应用基础频道》

电子钟相关毕业设计 ·数字电子钟的电路设计 (字数:9242,页数:22 )·数字电子钟的设计与制作 (字数:8017,页数:22 )·数字钟的设计 (字数:6208,页数:21 )·基于8051单片机的数字钟 (字数:21638,页数:50)·基于单片机的电子时钟控制系统 (字数:7935,页数:42 )·数字电路数字钟设计 (字数:4846,页数:21 )·电子闹钟设计 (字数:4094,页数:19 )·定时闹钟设计 (字数:5714,页数:24 )·智能定时闹钟设计 (字数:3826,页数:18 )·下棋定时钟设计 (字数:5290,页数:24 )·多功能数字钟设计与制作 (字数:13129,页数:34)·基于单片机的电子钟设计 (字数:7710,页数:24 )·基于单片机的数字电子钟设计 (字数:10301,页数:42)·基于Labview的虚拟数字钟设计 (字数:17457,页数:32)·电子日历钟 (字数:10677,页数:33)·数字钟的设计与制作 (字数:4922,页数:23 )·单片机数字钟设计 (字数:15355,页数:47)·基于单片机的数字钟设计 (字数:12541,页数:27)·单片机定时闹钟设计 (字数:8450,页数:24 )·万年历可编程电子钟控电铃 (字数:14371.页数:41)·数字定时闹钟设计 (字数:7770,页数:28 )·基于EDA技术的数字电子钟设计 (字数:12247,页数:32)·多功能时钟打点系统设计 (字数:8353,页数:31 )·智能音乐闹钟设计 (字数:10002,页数:37)·基于AT89S51单片机的数字电子钟设计 (字数:14560,页数:39)

毕业设计大全

led显示的电子钟毕业论文

做本科毕业论文还是很简单的。只要稍微努努力就可以做出来了。 当然楼主没有头绪可以借鉴一下前人的工作。 我手底偶然得到一篇不错的10000字的本科论文。 跟楼主的题目有80%的相似度。我想起码楼主可以借鉴它是如何进行论文格式设定,如何抓要点,如何布置布局的。 如果楼主感兴趣可以发邮件到,我可以发给你全文。 注意:这篇论文仅供你借鉴。我不能保证你的同学也可以通过其他手段也获得这篇文章。如果这样造成的“撞车”与我无关。 另,本人不是赚钱的写手,来百度赚积分纯属业余爱好,查了发了也无存稿,无关人员勿骚扰.谢谢。 基本信息: 《单片机LED通用模块及数字钟的设计》 摘要:近年来随着计算机在社会领域的渗透和大规模集成电路的发展,单片机的应用正在不断地走向深入,由于它具有功能强,体积小,功耗低,价格便宜,工作可靠,使用方便等特点,因此特别适合于与控制有关的系统,越来越广泛地应用于自动控制,智能化仪器,仪表,数据采集,军工产品以及家用电器等各个领域,单片机往往是作为一个核心部件来使用,在根据具体硬件结构,以及针对具体应用对象特点的软件结合,以作完善。 本次设计中以单片机的发展过程和发展方向为背景,介绍了单片机的输入输出的工作原理和操作方法,中断的工作原理和操作方法。4511的工作原理和操作方法,LED的内部结构。电路设计及调试过程。 本次做的数字钟是以单片机(AT89C51)为核心,结合相关的元器件(共阴极LED数码显示器、BCD-锁存/7段译码/驱动器CC4511等),再配以相应的软件,达到制作简易数字钟的目的,其硬件部分难点在于元器件的选择、布局及焊接。

相关资料:数字电路设计——简易多功能数字钟设计一、功能描述本数字钟完全模拟现实生活中的数字电子表设计,具有以下几项功能。1. 记数(数字电子表功能),能产生0:00:00~23:59:59的时间;2. 清零;3. 调时和整点报时;4. 调分。二、顶层文件输入管脚说明1。Clk——————脉冲输入端(接183管脚,频率为80MHz);2.Reset——————清零端;3.Switch——————功能选择输入端;4.Set——————调时\调分输入端。三、各模块设计介绍本数字钟采用模块设计方法,各个模块分别为分频器模块(用于产生秒脉冲)、三进制模块、60进制模块、24进制模块、整点报时模块和7段数码显示译码模块,现分别介绍如下:1、分频器模块,用于产生数字钟所需要的秒脉冲,生成的模块文件如下。SUBDESIGN fenpin(clk :INPUT;cout :OUTPUT;)VARIABLEcount[26..0] :DFF;f :DFF;BEGINcount[].clk=clk;;IF count[]==19999999 THEN count[]=0; f=!f;ELSE count[]=count[]+1; f=f;END IF;cout=f;END;2、三进制模块,主要用于功能选择,0——记数、1——调时、2——调分,程序如下SUBDESIGN counter3(clk :INPUT;out3[1..0] :OUTPUT;)VARIABLEcount[1..0] :DFF;BEGINcount[].clk=clk;IF (count[].q==2) THEN count[]=0;ELSE count[]=count[]+1;END IF;out3[]=count[];END; 3、60进制记数器,用于秒和分的记数,功能与常用电子表一样,AHDL设计如下SUBDESIGN counter60(clk,reset :INPUT;q,out60[7..0] :OUTPUT;)VARIABLEcount[6..0],s :DFF;BEGINcount[].clk=clk;count[].clrn=!reset;;!reset;q=;IF count[].q=9) THEN count[].d=count[].q+7; ELSE count[].d=count[].q+1; END IF;ELSE count[].d=0; ;END IF;out60[7..0]=(0,count[].q);END;4、24进制计数器,用于小时记数,AHDL设计如下SUBDESIGN counter24(clk,reset :INPUT;q,out24[7..0] :OUTPUT;)VARIABLEcount[7..0] :DFF;BEGINcount[].clk=clk;count[].clrn=!reset;%(aa[],bb[]).clrn=!clr;%IF (count[].qa,b,c,d,e,f,g;H"0" =>1,1,1,1,1,1,0;H"1" =>0,1,1,0,0,0,0;H"2" =>1,1,0,1,1,0,1;H"3" =>1,1,1,1,0,0,1;H"4" =>0,1,1,0,0,1,1;H"5" =>1,0,1,1,0,1,1;H"6" =>1,0,1,1,1,1,1;H"7" =>1,1,1,0,0,0,0;H"8" =>1,1,1,1,1,1,1;H"9" =>1,1,1,1,0,1,1;END TABLE;END;四、顶层文件设计。主要用于将个模块合成最终的应用程序,AHDL设计如下(由于数码管数量有限,所以只用了两个数码管显示秒位)。include "";include "";include "";include "";include "";include "";SUBDESIGN clock(clk,reset :INPUT;switch,set :INPUT;hour[7..0],minute[7..0],second[13..0],alt :OUTPUT;)VARIABLEs:counter60;m:counter60;h:counter24;temp:counter3;sh,sl:7segment;worning:alert;fp:fenpin;;()=reset;;[]=[];alt=;CASE [] IS WHEN 0 => ; ; ; WHEN 1 => ; ; ; WHEN 2 => ; ; ;END CASE;%second[]=[];%minute[]=[];hour[]=[];[]=[7..4];second[13..7]=();[]=[3..0];second[6..0]=();%[]=[7..4];minute[13..7]=();[]=[3..0];minute[6..0]=();[]=[7..4];hour[13..7]=();[]=[3..0];hour[6..0]=();%END;五、波形仿真(如下图) 六、下载验证选择器件ACEX1K—>EP1K100QC208-3,分配管脚后用CPLDDNN下载成功,实验结果与波形仿真一样。仅供参考,请自借鉴希望对您有帮助

相关百科